Press Release

Deutscher Zukunftspreis 2020: EUV developers from TRUMPF, ZEISS and Fraunhofer nominated

9 September 2020 · 3 min read

Ditzingen/Oberkochen/Jena, Germany | 9 September 2020 | ZEISS Semiconductor Manufacturing Technology

  • EUV lithography enables most modern smartphones and automated driving
  • World-leading manufacturing technology strengthens German-European position in global semiconductor business

The Office of the Federal President today announced the nominees for the Deutscher Zukunftspreis 2020 (German Future Prize 2020) in the Ehrensaal of the Deutsches Museum in Munich. The circle of the best - the three projects for the final round of the German President's Award for Technology and Innovation - includes a team of experts from TRUMPF, ZEISS and Fraunhofer IOF: With their project "EUV Lithography - New Light for the Digital Age", Dr. Peter Kürz, ZEISS Semiconductor Manufacturing Technology (SMT) segment, Dr. Michael Kösters, TRUMPF Lasersystems for Semiconductor Manufacturing, and Dr. Sergiy Yulin, Fraunhofer Institute for Applied Optics and Precision Engineering IOF in Jena, have been nominated.

More powerful, energy-efficient and cost-effective chips

The world's only manufacturer of EUV lithography machines is the Dutch company ASML, which as integrator designed the architecture of the overall system and in particular the EUV source. Key components of these machines are the high-power laser from TRUMPF for the EUV light source and the optical system from ZEISS. EUV stands for "extreme ultraviolet", i.e. light with an extremely short wavelength. With this technology, far more powerful, energy-efficient and cost-effective microchips than ever before can be produced. After all, successful digitization cannot be achieved without a further sharp increase in computing power. Today, a smartphone already has millions of times the computing power of the devices that accompanied the first moon landing in 1969. This is made possible by a microchip barely the size of a fingertip, which contains more than ten billion transistors. The production process for the latest chip generations is based on the use of EUV light, which overcomes previous limits of what is technically possible. From the light source to the optical system in a vacuum to the surface coating of the mirrors used in this process, practically the entire exposure technology had to be developed from scratch.

Future technology in industrial series application

The three nominees have made a significant contribution to the development and industrial maturity of the EUV technology. The result is a future technology secured by more than 2,000 patents, which is the basis for future technical progress and the digitalization of our everyday life.

  • Winner team of the Deutscher Zukunftspreis 2020 with the ZEISS SMT EUV technology in the background
    Deutscher Zukunftspreis / Ansgar Pudenz

    With their project "EUV Lithography - New Light for the Digital Age" nominated for the Deutscher Zukunftspreis 2020 (from left): Dr. Sergiy Yulin, Fraunhofer Institute for Applied Optics and Precision Engineering IOF, Dr. Peter Kürz, ZEISS SMT segment and Dr. Michael Kösters, TRUMPF Lasersystems for Semiconductor Manufacturing; Photo: © Deutscher Zukunftspreis / Ansgar Pudenz

  • The team of experts standing in front of the world's strongest pulsed industrial laser
    Deutscher Zukunftspreis / Ansgar Pudenz

    The team of experts in front of the world's strongest pulsed industrial laser, which is used for light generation to enable EUV lithography (from left): Dr. Peter Kürz, ZEISS SMT segment, Dr. Michael Kösters, TRUMPF Lasersystems for Semiconductor Manufacturing and Dr. Sergiy Yulin, Fraunhofer Institute for Applied Optics and Precision Engineering IOF; Photo: © Deutscher Zukunftspreis / Ansgar Pudenz

TRUMPF Vice Chairman of the Group Management Board and Chief Technology Officer Peter Leibinger: "We are very pleased with the nomination for the Deutscher Zukunftspreis. It confirms once again the worldwide potential of EUV technology. Thanks to our partnership with ZEISS, Fraunhofer and the Dutch technology group ASML, we were able to prevail in Germany and Europe against competitors from Japan and the USA for this future technology. The fact that the world's best machines for manufacturing microchips come from Europe is an extraordinary story that we have written together. The key to the success of this unique cooperation is mutual trust and staying power".

With the world's strongest pulsed industrial laser, TRUMPF supplies a key component for the exposure of the most modern microchips used in every modern smartphone. There is no economical alternative to this laser for generating the light required for EUV lithography. Leibinger: "Only TRUMPF can build the lasers needed for EUV lithography. Without these lasers, future technologies such as artificial intelligence or automated driving could not be implemented because they require a lot of computing power. The nomination for the Deutscher Zukunftspreis on the occasion of the laser's 60th birthday once again underlines the enormous importance of this tool for Germany as an industrial location".

"Together with our partners, we are very pleased about the nomination, which recognizes an enormously complex development effort and its translation into a technology that dominates the global market," says Dr. Markus Weber, member of the ZEISS Group Management Board and head of the Semiconductor Manufacturing Technology segment. "ZEISS stands for outstanding optical performance and precision. This has always been a key factor in chip production. EUV technology with its vacuum-operated mirror optics is a leap-frog innovation that required both creativity and perseverance to get from the idea to today's series production. The quality and shape of the illumination system and the resolution of the projection optics determine how small structures on microchips can be. EUV continues to enable major advances in digitization in business and society. We are proud to contribute to this together with our strategic partner ASML, TRUMPF and Fraunhofer".

Essential innovations are embedded in the mirrors. Since even the smallest irregularities lead to imaging errors, the world's "most precise" mirror was developed for EUV lithography. Fraunhofer was an important research partner in the sophisticated coating technology for the mirrors.

"Fraunhofer is one of the pioneers in semiconductor technology. At our institutes and facilities, we have been researching in the field of EUV lithography for three decades. Our researchers played a major role in the development of the first EUV mirrors and beam sources, thus laying the foundation for the breakthrough of this technology," explains Prof. Reimund Neugebauer, President of the Fraunhofer-Gesellschaft. "Thanks to the intensive and long-standing cooperation between science and industry, we have now succeeded in making the leap to broad application in this innovative field worldwide. EUV lithography is an outstanding example of the technological and economic added value that can be achieved through cooperation, a spirit of research and sustained commitment".

Press Contact Jeannine Rapp Carl Zeiss SMT GmbH
Press Contact Dr. Manuel Thomä TRUMPF
Press Contact Janis Eitner Fraunhofer-Gesellschaft

About ZEISS

ZEISS is an internationally leading technology enterprise operating in the fields of optics and optoelectronics. In the previous fiscal year, the ZEISS Group generated annual revenue totaling more than 6.4 billion euros in its four segments Semiconductor Manufacturing Technology, Industrial Quality & Research, Medical Technology and Consumer Markets (status: 30 September 2019).

For its customers, ZEISS develops, produces and distributes highly innovative solutions for industrial metrology and quality assurance, microscopy solutions for the life sciences and materials research, and medical technology solutions for diagnostics and treatment in ophthalmology and microsurgery. The name ZEISS is also synonymous with the world's leading lithography optics, which are used by the chip industry to manufacture semiconductor components. There is global demand for trendsetting ZEISS brand products such as eyeglass lenses, camera lenses and binoculars.

With a portfolio aligned with future growth areas like digitalization, healthcare and Smart Production and a strong brand, ZEISS is shaping the future of technology and constantly advancing the world of optics and related fields with its solutions. The company's significant, sustainable investments in research and development lay the foundation for the success and continued expansion of ZEISS' technology and market leadership.

With over 31,000 employees, ZEISS is active globally in almost 50 countries with around 60 sales and service companies, 30 production sites and 25 development sites. Founded in 1846 in Jena, the company is headquartered in Oberkochen, Germany. The Carl Zeiss Foundation, one of the largest foundations in Germany committed to the promotion of science, is the sole owner of the holding company, Carl Zeiss AG.

Semiconductor Manufacturing Technology

With its product portfolio and expertise, the Semiconductor Manufacturing Technology segment of ZEISS covers a variety of key processes in the production of microchips. Its products include semiconductor manufacturing optics – notably lithography optics – as well as photomask systems and process control solutions for semiconductor manufacturing. Thanks to ZEISS technology, microchips are becoming increasingly smaller, more powerful, more energy-efficient and more affordable. The electronic applications of these ongoing enhancements enable global progress in many disciplines such as technology, electronics, communication, entertainment, mobility and energy. Semiconductor Manufacturing Technology is headquartered in Oberkochen. Other sites include Jena, Rossdorf and Wetzlar in Germany, as well as Bar Lev (Israel) and Pleasanton, CA and Peabody, MA (USA).

Press Photos

  • The experts make it to the final round with their project "EUV Lithography - New Light for the Digital Age"

    The team of experts in front of the world's strongest pulsed industrial laser, which is used for light generation to enable EUV lithography (from left): Dr. Peter Kürz, ZEISS SMT segment, Dr. Michael Kösters, TRUMPF Lasersystems for Semiconductor Manufacturing and Dr. Sergiy Yulin, Fraunhofer Institute for Applied Optics and Precision Engineering IOF.

    File size: 742 KB
  • ZEISS, TRUMPF and Fraunhofer research team nominated for Deutscher Zukunftspreis 2020

    With their project "EUV Lithography - New Light for the Digital Age" nominated for the Deutscher Zukunftspreis 2020 (from left): Dr. Sergiy Yulin, Fraunhofer Institute for Applied Optics and Precision Engineering IOF, Dr. Peter Kürz, ZEISS SMT segment and Dr. Michael Kösters, TRUMPF Lasersystems for Semiconductor Manufacturing.

    File size: 1003 KB