Das Eventcenter der SPIE aus der Vogelperspektive

SPIE Photomask Technology and EUV Lithography 2023

Monterey Conference Center and Monterey Marriott

Starting soon
Ongoing Stay Tuned for next time
Ended Stay Tuned for next time
  • 00 years
  • 00 months
  • 00 days
  • 00 hours
  • 00 minutes
  • 00 seconds
SPIE Photomask Technology and EUV Lithography 2023

ZEISS Program Highlights

SPIE Photomask Technology + Extreme Ultraviolet Lithography is the premier worldwide technical meeting for photomasks, patterning, metrology, materials, inspection/repair, mask business, extreme UV lithography, and emerging technologies.

ZEISS is actively contributing to the Conference. Please find an overview of our  technical contributions in the following.

High-NA EUV platform realization as next step in EUV technology

To enable cost-effective scaling of technology nodes and extend Moore’s law for at least another decade, ASML has been developing the High NA EUV platform. With an increase of the numerical aperture (NA) from 0.33NA to 0.55NA, High NA EUV will bring multiple benefits to the semiconductor market, such as reduction of process complexity, yield improvement and higher resolution. This will be done while maximizing 0.33NA(NXE) and 0.55 NA (EXE) platforms commonality, making this an evolutionary step on EUV technology. This paper gives an overview of the progress and status of the first High NA EUV platform, the EXE:5000, through its different development and build phases.

Speaker: Jara Garcia-Santaclara, ASML Netherlands B.V.

Mask registration for high-NA EUV lithography

The latest generation of ZEISS PROVE neXT tools from Carl Zeiss comes with an illumination wavelength of 193nm and a higher NA of 0.8 to provide inherent repeatability and resolution advantages on smaller features. Here, we will demonstrate the performance of a fleet of ZEISS PROVE neXT tools in terms of their reproducibility, accuracy, and matching as well as their readiness to meet the requirements of upcoming technology nodes. All application cases will be reviewed and discussed from a mask shop point of view.

Speaker: Roman Schmeissner, Carl Zeiss SMT GmbH

Aerial imaging (aims) based computational lithography model calibration and mask metrology for high-NA EUV

In this paper, we present the use of Aerial images from the high-NA AIMS® EUV for calibrating mask model parameters of a Tachyon™ high-NA OPC model. We acquired high-NA Aerial images at Zeiss. The measurements included patterns such as line-space patterns for optical proximity and linearity variation, a diverse set of contact-hole patterns, tip-to-tip patterns, SRAFs, and curvilinear patterns. We then analyzed the data with the Tachyon™ platform to derive essential imaging and mask parameters such as image log slope (ILS), peak intensity (Imax), CD through focus and dose, and pattern shift through focus.  

Speaker:  Nitesh Pandey, ASML US PT

Dense mask registration fingerprint characterization to better understand and mitigate the metrology to device offset

Speaker: Richard J.F. van Haren, ASML Netherlands B.V.

ZEISS AIMS EUV high-NA for actinic mask review for the next EUV scanner generation

AIMS® EUV is a unique tool in the EUV mask infrastructure. It allows qualification of the mask printing performance in the aerial image under scanner equivalent conditions. For emulation of the high NA EUV scanner, ZEISS upgraded the existing 0.33 NA AIMS® EUV platform. The system can now emulate both 0.33 NA isomorphic scanners as well as 0.55 NA anamorphic scanners. We present the concept of AIMS® EUV high NA with focus on the emulation of a wafer defocus in the anamorphic high NA scanner. Besides defect review applications, this enables aerial image based high NA imaging studies.

Speaker: Klaus Gwosch, Carl Zeiss SMT GmbH

Status and outlook of EUV optics at ZEISS

NXE scanners with NA 0.33 are the current workhorses for high-volume manufacturing. At the same time, ZEISS and ASML are preparing the final steps for the introduction of High-NA EUV, with NA 0.55 and an optical resolution of 8nm half-pitch. We report on the status and outlook of the NA 0.33 optics and will recap the concept of the High-NA optics, including central obscuration and anamorphic imaging. We provide an update of the High-NA optics program at ZEISS, including manufacturing and metrology of mirrors, as well as the integration and status of the High-NA illuminator and projection optics.

Speaker: Jörg Zimmermann, Carl Zeiss SMT GmbH

Picture of speaker Renzo Capelli
Speaker Dr. Roman Schmeissner Carl Zeiss SMT GmbH

"Mask registration for high-NA EUV lithography"

  

Picture of Speaker Nitesh Pandey
Speaker Nitesh Pandey ASML US LP

"Aerial imaging (AIMS) based computational lithography model calibration and mask metrology for high-NA EUV"

 

Picture of Speaker Bartosz Bilski
Speaker Klaus Gwosch Carl Zeiss SMT GmbH

"ZEISS AIMS EUV high-NA for actinic mask review for the next EUV generation"

Picture of the speaker Richard J. F. van Haren
Speaker Richard J. F. van Haren ASML Netherlands B.V.

"Dense mask registration fingerprint characterization to better understand and mitigate the metrology to device offset"
  

Speaker Jara Garcia-Santaclara ASML Netherlands B.V.

"High-NA EUV platform realization as next step in EUV technology"

Speaker Jörg Zimmermann Carl Zeiss SMT GmbH

"Status and outlook of EUV optics at ZEISS"

Experience ZEISS at the SPIE

Photomask Technology + EUV Lithography

ZEISS Booth #204
Messestand SPIE Photomask

ZEISS Booth #106

Meet experts during the technical exhibition!

As usually you can discuss during the technical exhibition at booth #106 with our product specialists for mask repair, qualification, EUV and Digital Solutions.

Date & Time:  

  • Tuesday 10/2, 10:00 am to 4:00 pm
  • Wednesday 10/3, 9:30 am to 4:00 pm

SPIE Photomask Technology and EUV Lithography 2023

Event Location

Monterey Conference Center 1 Portola Plaza 93940 Monterey CA USA